Eliyan: Chiplet Interconnect Company Raises $60 Million

By Amit Chowdhry • Mar 26, 2024

Eliyan, a company known for inventing the semiconductor industry’s highest-performance and most efficient chiplet interconnect, announced the closing of its latest funding round, totaling $60 million. The round was co-led by Samsung Catalyst Fund and Tiger Global Management and included participation from existing investors, including Intel Capital, SK hynix, Cleveland Avenue, Mesh Ventures, and others.

The additional funding round follows the company’s $40 million Series A round in 2022. And it will enable the company to continue focusing on the biggest challenges facing the design and manufacturing of advanced AI chips that utilize multi-die architectures in advanced packaging or standard organic substrates. Plus, its chiplet interconnect technology enables chip makers to achieve new levels of performance and power efficiency.

Along with die-to-die interconnect in chiplet-based designs, the company handles the challenges of memory capacity and bandwidth in AI chips with its innovative Universal Memory Interface (UMI). And the bi-directional interconnect method aims at the memory wall issue facing large, multi-die designs.

UMI provides a bandwidth-efficient memory connection, in standard organic substrates and advanced packaging. And given the highly efficient PHY beachfront area, UMI significantly increases aggregate memory bandwidth per AI chip and major die area reduction needed for memory interfaces.

KEY QUOTES:

“We are thrilled to co-lead Eliyan’s Series B round and partner with an exceptional team known for their unique expertise in interconnect and mixed signal technologies. Intensive workloads and cutting-edge applications, including Generative AI and automotive, are driving the demand for more sophisticated semiconductor design and the adoption of chiplet architecture.”

– Marco Chisari, Head of Samsung Semiconductor Innovation Center and Executive Vice President, Samsung Electronics

“At a time when the explosion of AI is driving increasing connectivity needs and the semiconductor industry is undergoing a seismic shift with the rise of multi-die implementation, Eliyan is poised to revolutionize chiplet connectivity technology by unleashing the ultimate performance of chiplet-based systems. Eliyan’s continued advancements in die-to-die interconnect architecture and its scalability in the AI era, truly marks a significant milestone in the larger chiplet revolution.”  

– Srini Ananth, Managing Director at Intel Capital

“This investment reflects the confidence in our approach to integrating multi-chip architectures that address the critical challenges of high costs, low yield, power consumption, manufacturing complexity, and size limitations. Our NuLink technology has achieved commercial readiness with tape outs in the most advanced processes, and is optimized for delivering the necessary high bandwidth, low latency, and low power capabilities. We thank all of our investors for their support of our vision of enabling the ultimate chiplet systems for the new AI era.”

– Ramin Farjadrad, co-founder and CEO of Eliyan